教职员工

当前位置 :首页>教职员工

余 浩

副院长、教授
个人简介

个人主页

余浩教授是复旦大学学士,美国加州大学洛杉矶分校电子工程系博士。2021年入选国家高层次人才特支计划科技创新领军人才、2017年入选国家青年特聘专家、2019年入选广东省珠江人才青年拔尖人才、IEEE APCCAS 大会主席、IEEE SSC深圳Chapter主席、IEEE电路与系统(CAS)方向国际杰出宣讲人。余浩教授2010年起在新加坡南洋理工大学任助理教授和集成电路研究中心主任,2017年以国家青年特聘专家身份加入南方科技大学任长聘教授,现担任南方科技大学国家示范微电子学院创院副院长,教育部工程研究中心-未来通信集成电路执行主任。余浩教授长期从事高性能集成电路芯片设计和研究工作(主要方向包括人工智能芯片,太赫兹通讯芯片,DNA传感器芯片),在各相关领域有超过20年的科研积累和研究成果,其中研究开展的流片实现工作覆盖180nm至28nm工艺。研究水平处于国际先进水平,研究已取得多项原创性成果,是该领域国际著名专家。

余浩教授累计发表310篇(电气与电子工程师协会(IEEE)/国际计算机学会(ACM)核心国际期刊111篇及国际会议论文199篇,引用达4667次),IEEE JSSC/IEEE TMTT等。余浩教授共主持编写了9部英文专著,其中3D-IC电路设计是3D芯片设计权威英文专著,并入选AMAZON前100名畅销书,已获得授权专利17项。余浩教授领导的团队项目“基于网络搜索优化的RISC-V边缘人工智能芯片”获得2020吴文俊人工智能芯片专项奖,“面向边缘计算的深度学习芯片的关键技术开发”获得2019吴文俊人工智能技术发明奖,在DNA测序芯片设计论文获得2018年国际电子电气工程协会(IEEE-BioCAS)最佳论文奖,该论文提出国际首个基于太赫兹等离子体基元的DNA传感器电路,“低功耗机器学习芯片”研究获得2018年科技部中国产学研合作创新奖,3D-IC多核设计论文获得2010年国际计算机协会(ACM-TODAES)最佳论文奖,高速太赫兹互连工作获2009年国际半导体工业联合会(SRC)创新发明奖。研究成果多次被在线技术杂志报道,并获得多项政府资助及企业项目(科技部国家重点研发计划项目、国自然重点项目、广东省重点领域研发计划项目、深圳市孔雀团队项目等)。

余浩教授的学术工作在国际上具有影响力,担任IEEE APCCAS 大会主席、IEEE SSC深圳Chapter主席、IEEE电路与系统(CAS)方向的杰出宣讲人(2017年至2018年),担任IEEE/ACM/Elsevier5项国际知名期刊编辑/副编辑(TBioCAS/TECS/Microelectronics/VLSI-Integration)。余浩教授同时还担任国际电路系统多个国际知名会议组织委员会或技术委员会核心成员(IEEE/ACM/DAC/ICCAD/DATE/CICC/ASSCC/ASPDAC等)。余浩教授在国际知名学术大会共做主题报告4次,并且受邀报告大于50多次,2022年担任第18届IEEE-APCCAS会议主席。在教学方面,2021年度获得教育部-华为“智能基座”全国优秀教师奖,已指导毕业9名博士生(例如美国乔治梅森大学助理教授,杭州电子科技大学副教授,阿里/华为/腾讯实验室主任工程师等),主持两项教育部新工科项目,教育部—华为产学合作协同育人新工科项目及教育部—华为智能基座项目。
教育经历

2007年, 美国加州大学洛杉矶分校,电子工程,博士
2005年 ,美国加州大学洛杉矶分校,电子工程,硕士
1999年, 复旦大学,学士

工作经历

2019/01至今,南方科技大学深港微电子学院 长聘教授、学院副院长
2017/06-2018/12 ,南方科技大学电子与电气工程系 长聘副教授 (国家青年特聘)
2010/01-2017/05 ,新加坡南洋理工大学电子工程系 助理教授及集成电路研究中心主任

研究简介

集成电路设计-人工智能芯片
集成电路设计-太赫兹通讯芯片
集成电路设计- DNA传感器芯片

所获荣誉

2021年,国家高层次人才特殊支持计划科技创新领军人才
2021年,2021年度教育部-华为“智能基座”优秀教师
2020年,第十届吴文俊人工智能芯片专项奖二等奖
2019年,第九届吴文俊人工智能技术发明奖三等奖
2019年,2019“珠江人才计划”青年拔尖人才
2018年,中国产学研合作创新奖
2018年,生物医学电路系统大会(IEEE-BioCAS)最佳论文奖
2017年,国家青年特聘专家
2017年,电路与系统(IEEE-CAS)方向杰出宣讲人
2010年,电子自动化设计期刊(ACM-TODAES)最佳论文奖
2009年,美国半导体工业联合会(SRC)创新发明奖

代表文章

J110. (TCAS1’23) Mingqiang Huang, Junyi Luo, Chenchen Ding, Zikun Wei, Sixiao Huang, Hao Yu*, " An Integer-only and Group-Vector Systolic Accelerator for Efficiently Mapping Vision Transformer on Edge” IEEE Transactions on Circuits and Systems I: Regular Papers, 2023 (Accepted).
J109. (TCAS1’23) Quan Cheng, Mingqiang Huang, Changhai Man, Ao Shen, Liuyao Dai, Hao Yu, Masanori Hashimoto, "Reliability Exploration of System-on-Chip with Multi-bit-width Accelerator for Multi-precision Deep Neural Networks” IEEE Transactions on Circuits and Systems I: Regular Papers, 2023 (Accepted).
J108. (TCAS2’23) Jie Shi, Xiaohu Fang, Hao Yu, Jiangwei Sui and Kwok-Keung M. Cheng, " Novel Wideband Millimeter-wave GaN Power Amplifier Design using Transistors with Large Drain Capacitance and High Optimum Load Impedance," IEEE Transactions on Circuits and Systems II: Express Briefs, Jun. 2023. (doi: 10.1109/TCSII.2023.3291383)
J107. (OJCAS’23)Laimin Du, Leibin Ni, Xiong Liu, Guanqi Peng, Kai Li, Wei Mao* and Hao Yu*, “A Low-Power DNN Accelerator with Mean Error Minimized Approximate Signed Multiplier,” IEEE Open Journal of Circuits and Systems, 2023.
J106. (TCAS2’22)Quan Cheng, Liuyao Dai, Mingqiang Huang, Ao Shen, Wei Mao, Masanori Hashimoto, Hao Yu*, "A Low-Power Sparse Convolutional Neural Network Accelerator with Pre-Encoding Radix-4 Booth Multiplier," IEEE Transactions on Circuits and Systems II: Express Briefs, 2022.
J105. (TVLSI’22) Wei Mao, Liuyao Dai, Kai Li, Quan Cheng, Yuhang Wang, Laimin Du, Shaobo Luo, Mingqiang Huang, Hao Yu*, "An Energy-Efficient Mixed-Bitwidth Systolic Accelerator for NAS-Optimized Deep Neural Networks," in IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 30, no. 12, pp. 1878-1890, Dec. 2022. (doi: 10.1109/TVLSI.2022.3210069)
J104. (Chip’22) Yuan Liang, Hao Yu*, Hong Wang, Haochi Zhang, and Tiejun Cui, "Towards Integrated Metadevices for Terahertz Silicon Plasmonics: A Review of Recent Progress", Chip, Volume 1, Issue 4, December 2022.(doi: 10.1016/j.chip.2022.100030)
J103. (JETCAS’22) Dingbang Liu, Haoxiang Zhou, Wei Mao*, Jun Liu, Yuliang Han, Changhai Man, Qiuping Wu, Mingqiang Huang, Shaobo Luo, Mingsong Lv, Quan Chen, and Hao Yu*, “An Energy-Efficient Mixed-Bit CNN Accelerator with Column Parallel Readout for ReRAM-based In-memory Computing,” IEEE Journal on Emerging and Selected Topics in Circuits and Systems, vol. 12, no. 4, pp. 821-834, Dec. 2022.(doi: 10.1109/JETCAS.2022.3212314)
J102. (TCAS2’22) Kai Li, Junzhuo Zhou, Boyu Li, Shuxing Yang, Sixiao Huang, Wei Mao and Hao Yu*, “A Vector Systolic Accelerator for Multi-Precision Floating-Point High-Performance Computing”, IEEE Transactions on Circuits and Systems II: Express Briefs, vol. 69, no. 10, pp. 4123-4127, Oct. 2022.(doi: 10.1109/TCSII.2022.3183007)
J101. (TCAS1’22) Mingqiang Huang, Yucen Liu, Changhai Man, Kai Li, Quan Cheng, Wei Mao, Hao Yu*,"A High Performance Booth Multi-bit-width Vector Systolic Accelerator for NAS Optimized Deep Learning Neural Networks", IEEE Transactions on Circuits and Systems I: Regular Papers, May 19 2022.(doi: 10.1109/TCSI.2022.3178474)
J100. (TECS’22) Shuwei Li, Changhai Man, Ziyi Guan, Ao Shen, Wei Mao, Shaobo Luo, Rumin Zhang and Hao Yu*, “A Fall Detection Network by 2D/3D Spatio-temporal Joint Models with Tensor Compression on the Edge”, ACM Transactions on Embedded Computing Systems, March 2022.(doi: 10.1145/3531004)
J99. (ESA’22) Xiaohao Ma, Ruiheng Peng, Wei Mao*, Yuanjing Lin* and Hao Yu*, “Recent Advances in Ion-sensitive Field-effect Transistors for Biosensing Applications,” Electrochemical Science Advances, February 2022. (doi: 10.1002/elsa.202100163)
J98. (TODAES’22) Qi Sun, Tinghuan Chen, Siting Liu, Jin Miao, Jianli Chen, Hao Yu*, Bei Yu*, “Correlated Multi-objective Multi-fidelity Optimization for HLS Directives Design”, ACM Transactions on Design Automation of Electronic Systems, Vol. 27, No. 4, pp 1–27, March 2022.(doi: 10.1145/3503540)
J97. (TVLSI’22) Wei Mao, Kai Li, Quan Cheng, Liuyao Dai, Boyu Li, He Li, Longyang Lin and Hao Yu*, “A Configurable Floating-Point Multiple-Precision Processing Element for HPC and AI Converged Computing,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 30, no. 2, pp. 213-226, Feb. 2022. (doi: 10.1109/TVLSI.2021.3128435)
J96. (TMTT’22) Yuan Liang, Chirn Chye Boon, Hao Chi Zhang, Xiao-Lan Tang, Qingfeng Zhang, and Hao Yu*, “A 13.5 Gb/s 140-GHz Silicon Redriver Exploiting Metadevices for Short-Range OOK Communications”, IEEE Transactions on Microwave Theory and Techniques, vol. 70, no. 1, pp. 239-253, Jan. 2022. (doi: 10.1109/TMTT.2021.3124215)
J95. (DT’22) Haoran Lyu, Fengwei An*, Shirui Zhao, Wei Mao and Hao Yu*, "A 703.4 GOPs/W Binary SegNet Processor with Computing-Near-Memory Architecture for Road Detection," IEEE Design & Test, Volume 39, Issue 2, pp.1-5, April 2022. (DOI: 10.1109/MDAT.2020.3034041)
J94. (ACM TIST’21) Yuan Cheng, Yuchao Yang, Hai-Bao Chen*, Ngai Wong, Hao Yu*, “S3-Net: A Fast Scene Understanding Network by Single-shot Segmentation for Autonomous Driving.”, ACM Transactions on Intelligent Systems and Technology, Vol. 12, No. 5, Article 58, September 2021.(doi: 10.1145/3470660)
J93. (ACM TIOT’21) Peining Zhen, Hai-Bao Chen, Yuan Cheng, Bin Liu, Hao Yu, " Fast Video Facial Expression Recognition by Deeply Tensor-compressed LSTM Neural Network for Mobile Device", ACM Transactions on Internet of Things, 2021. (doi: 10.1145/3318216.3363322)
J92. (JETC’21) Yufei Chen, Tingtao Li, Qinming Zhang, Wei Mao, Nan Guan, Mei Tian, Hao Yu* and Cheng Zhuo*, “ANT-UNet: Accurate and Noise-Tolerant Segmentation for Pathology Image Processing,” ACM Journal on Emerging Technologies in Computing Systems, , vol.69, no.7, pp. 3700-3708, August 06 2021. (doi: 10.1109/BIOCAS.2019.8919150) (Impact Factor 2.055)
J91.(TAP’21) Chaojun Ma, Shunli Ma*, Liuyao Dai, Qingfeng Zhang, Hong Wang and Hao Yu*,” Wideband and High-gain D-band Antennas for Next-generation Short Distance Wireless Communication Chips,” IEEE Transactions on Antennas and Propagation, 2021. (doi:10.1109/TAP.2020.3044365 )(Impact Factor 4.371)
J90. (DT’20) P D Sai Manoj, Hantao Huang and Hao Yu, "Energy-Efficient and Error Resilient Cognitive I/O for 3D-Integrated Many-core Microprocessors," IEEE Design & Test, pp.1-1, December 2020. (doi: 10.1109/MDAT.2020.3043232) (Impact Factor 2.409)
J89. (Access’20) Shunli Ma, Yan Wang, Xinyu Chen, Tianxiang Wu, Xi Wang, Hongwei Tang, Yuting Yao, Hao Yu, Yaochen Sheng, Jingyi Ma, Junyan Ren, Wenzhong Bao, "Analog Integrated Circuits Based on Wafer-Level Two-Dimensional MoS2 Materials With Physical and SPICE Model", IEEE Access, vol. 8, pp. 197287-197299, 2020. (doi: 10.1109/ACCESS.2020.3034321)
J88. (ML’20) Bin Yao, Xinwei Xa, Qingfeng Zhang, Hao Yu, He Li, Lulu Ren, Steven Perini, Michael Lanagan, Qing Wang, Hong Wang⁎, "Highly stretchable and mechanically tunable antennas based on three-dimensional liquid metal network," Materials Letters, October 26, 2020. (doi: 10.1016/J.Matlet.2020.127727)
J87. (Wiley’20) Dingbang Liu, Hao Yu*, and Yang Chai*. “Low-power computing with neuromorphic engineering.” Wiley-VCH: Adavance Intelligence System, no.3, article#2000150, September 2020. (doi: 10.1002/aisy.202000150)
J86. (TECS’20) Yuan Cheng, Guangya Li, Ngai Wong, Hai-Bao Chen, and Hao Yu*. “DEEPEYE: A Deeply Tensor-Compressed Neural Network for Video Comprehension on Terminal Devices.” ACM Transactions on Embedded Computing Systems (TECS), Volume 19, Issue 3, Article No.: 18, pp 1–25, May 2020.(doi:10.1145/3381805)
J85. (GRSL’20) Hai-Bao Chen, Shan Jiang, Guanghui He, B. Zhang, Hao Yu. “TEANS: A Target Enhancement and Attenuated Non-Maximum Suppression Object Detector for Remote Sensing Images. ” IEEE Geoscience and Remote Sensing Letters, GRSL-00212-2019.R1, pp.1-5, April 2020. (doi: 10.1109/LGRS.2020.2983070) (Impact Factor 2.89)
J84. (MEIM’19) Jiafu Lin, Liuyao Dai, Hao Yu*. “CMOS terahertz electronics: Sub-THz surface-wave interconnect.” Micro/nano Electronics and Intelligent Manufacturing, no.3, pp. 99-109, 2019. (doi: 10.19816/j.cnki.10-1594/tn.000014)(Chinese)
J83. (Sensors’19) Liu Wenjuan, He Leming, Wang Xubo, Zhou Jia, Xu Weijiang, Smagin Nikolay, Toubal Malika, Yu Hao, Gu Yuandong, Xu Jinghui, Remiens Denis, Ren Junyan*. “3D FEM analysis of high-frequency ALN-based PMUT arrays on cavity SOI.” Sensors (Switzerland), vol.19, no.20, October 2019. (doi: 10.3390/s19204450) (Impact Factor 3.031)
J82. (TVLSI’19) Liang, Y., Boon, C.C., Li, C.,Zhang, Q., Hao Yu. “Design and Analysis of D-Band On-Chip Modulator and Signal Source Based on Split-Ring Resonator. ” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.27, no.7, pp.1513-1526, July 2019. (doi:10.1109/TVLSI.2019.2906680)
J81. (TETC’19) Huang, G.-B.,Eleftheriou, E.S.,Kudithipudi, D.,Tapson, J., Hao Yu, "Guest Editorial: Special Issue on New Trends in Smart Chips and Smart Hardware", Integration, IEEE Transactions on Emerging Topics in Computational Intelligence, vol.3, no.1, pp.1-3, 2019. (doi: 10.1109/TETCI.2018.2890048)
J80. (VLSI’19) Yuan Cheng, Chao Wang, Hai-Bao Chen*, Hao Yu, "A Large-scale In-memory Computing for Deep Neural Network with Trained Quantization", Integration, the VLSI Journal, vol.69, pp.345-355,2019. (doi: 10.1016/j.vlsi.2019.08.004)
J79. (TIE’19) Yixing Li, Zichuan Liu, Wenye Liu, Yu Jiang, Yongliang Wang, Hao Yu and Fengbo Ren, “A 34-FPS 698-GOP/s/W Binarized Deep Neural Network-based Natural Scene Text Interpretation Accelerator for Mobile Edge Computing”, IEEE Transactions on Industrial Electronics, vol.66, no.9, pp.7407-7416, September 2019. (doi: 10.1109/TIE.2018.2875643) (Impact Factor 7.00)
J78. (TNNL’19) Hantao Huang, and Hao Yu, “LTNN: A Layer-wise Tensorized Compression of Multilayer Neural Network”, IEEE Transactions on Neural Networks and Learning Systems, vol.30, no.5, pp.1497-1511, May 2019. (doi: 10.1109/TNNLS.2018.2869974) (Impact Factor 7.98)
J77. (TCOM’19) Soumitra R. Joy, Erementchouk Mikhail, Hao Yu and Pinaki Mazum, “Spoof Plasmon Interconnects--- Communications beyond RC Limit”, IEEE Transactions on Communications, vol.67, no.1, pp.599-610, January 2019. (doi: 10.1109/TCOMM.2018.2874242) (Impact Factor 4.67)
J76. (Access’18) Jiang Luo, Jin He*, Guangyin Feng, Anak Agung Alit Apriyana, and Hao Yu*, “A D-band Amplifier in 65 Bulk CMOS for Short Distance Data Center Communication”, IEEE Access, vol.6, pp.53191-53200, 2018. (doi: 10.1109/ACCESS.2018.2871047) (Impact Factor 3.56)
J75. (SR’18) Xiao-Lan Tang, Qingfeng Zhang*, Sanming Hu*, Shangkun Ge, Yifan Chen, and Hao Yu, “Beam Steering Using Momentum-Reconfigurable Goubau Meta-Line Radiators”, Scientific Reports, vol.8, article#.11854, 2018. (doi: 10.1038/s41598-018-29507-2) (Impact Factor 5.58)
J74. (TCAS1’18) Shunli Ma, Junyan Ren, Jane Gu and Hao Yu, “A 5-10 Gbps 12.5 mW Source Synchronous I/O Interface with 3D Flip Chip Package”, IEEE Transactions on Circuits and Systems I, vol.66, no.2, pp.555-568, 2018. (doi: 10.1109/TCSI.2018.2867623) (Impact Factor 2.80)
J73. (PRS’18) Joy Soumitra Roy*,Yu Hao, Mazumder Pinaki, “Properties of spoof plasmon in thin structures”, Proceedings of The Royal Society A-Mathematical Physical and Engineering Sciences, vol. 474, no. 2220, article#.20180205, 2018. (doi: 10.1098/rspa.2018.0205) (Impact Factor 2.818)
J72. (TMTT’18) Shunli Ma, Hao Yu, Qun Jane Gu, Junyan Ren, “A 7.52-dB Noise Figure 128.75-132.25-GHz Super-Regenerative Receiver With 0.615-fW/√Hz NEP by Coupled Oscillator Networks for Portable Imaging System in 65-nm CMOS”, IEEE Transactions on Microwave Theory and Techniques, vol.66, no.9, pp.4095-4107, 2018. (doi: 10.1109/TMTT.2018.2836402) (Impact Factor 3.18)
J71. (TBCAS’18) Yu Jiang, Xu Liu, Tran Chien Dang, Xiwei Huang, Hao Feng, Qing Zhang, and Hao Yu*, “A High-Sensitivity Potentiometric 65-nm CMOS ISFET Sensor for Rapid E. coli Screening”, IEEE Transaction on Biomedical Circuits and Systems, vol.12, no.2, pp.402-415, 2018. (doi: 10.1109/TBCAS.2018.2793861) (Impact Factor 3.50)
J70. (TODAES’18) Hantao Huang, Hang Xu, Yuehua Cai, Rai Sulman Khalid and Hao Yu, “Distributed Machine Learning on Smart-gateway Network towards Real-time Smart-grid Energy Management with Behavior Cognition”, ACM Transactions on Design Automation of Electronic Systems, vol.23, no.5, article#.56, 2018. (doi: 10.1145/3209888)

J69. (JETC’18) Yixing Li, ZC Liu, Kai Xu, Hao Yu, Fengbo Ren, “A GPU-Outperforming FPGA Accelerator Architecture for Binary Convolutional Neural Networks”, ACM Journal on Emerging Technologies in Computing, vol. 14, no. 2, pp.8-16, 2018. (doi: 10.1145/3154839) (Impact Factor 2.055)

J68. (Photonics’17) Guozhen Liang, Yongquan Zeng, Xiaonan Hu, Hao Yu, Houkun Liang, Ying Zhang, Lianhe Li, A. G. Davies, E. H. Linfield, and Qijian Wang, “Monolithic Semiconductor Lasers with Dynamically Tunable Linear-to-Circular Polarization”, ACS Photonics, vol.4, no.3,pp.517-524, 2017. (doi: 10.1021/acsphotonics.6b00703) (Impact Factor 6.76)
J67. (DT’17) Dongjun Xu, Sai Manoj P. D., Hantao Huang, Ningmei Yu, and Hao Yu, “Q-Learning based Voltage-swing Tuning and Compensation for 2.5D Memory-Logic Integration”, IEEE Design & Test, vol. 35, no. 2, pp. 91-99, 2017. (doi: 10.1109/MDAT.2017.2764075)
J66. (TNANO’17) Hantao Huang, Leibin Ni, Kanwen Wang, Yuangang Wang, and Hao Yu, “A Highly-parallel and Energy-efficient 3D Multi-layer CMOS-RRAM Accelerator for Tensorized Neural Network”, IEEE Transactions on Nanotechnology, vol.17, no.4, pp.645-656, 2017 (doi: 10.1109/TNANO.2017.2732698) (Impact Factor 2.86)
J65. (TBCAS’17) Xu Liu, Xiwei Huang, Yu Jiang, Hang Xu, Jing Guo, Han Wei Hou, Mei Yan, and Hao Yu*, “A Microfluidic Cytometer for Complete Blood Count with a 3.2-Megapixel, 1.1-µm-pitch Super-Resolution Image Sensor in 65-nm BSI CMOS”, IEEE Transaction on Biomedical Circuits and Systems, vol.11, no.4, pp. 794-803, 2017. (doi: 10.1109/TBCAS.2017.2697451) (Impact Factor 3.15)
J64. (JXCDC’17) Leibin Ni, Zichuan Liu, Rajiv V. Joshi and Hao Yu, "An Energy-efficient Digital ReRAM-crossbar based CNN with Bitwise Parallelism," IEEE Journal of Exploratory Solid-State Computational Devices and Circuits, vol.11, pp.37-46, 2017. (doi: 10.1109/JXCDC.2017.2697910)
J63. (JSSC’17) Dongsuk Jeon, Qing Dong, Yejoong Kim, Xiaolong Wang, Shuai Chen, Hao Yu, David Blaauw, Dennis Sylvester, “A 23mW Face Recognition Processor with Mostly-Read 5T Memory in 40nm CMOS”. IEEE Journal of Solid-State Circuits (JSSC) , vol.52, no.6, pp.1628-1642, January 2017 (doi:10.1109/JSSC.2017.2661838) (Impact Factor 3.29)
J62. (TMTT’16) Yuan Liang, Hao Yu, Guangyin Feng, Anak Agung Alit Apriyana, Xiaojian Fu, and Tiejun Cui, “An Energy-efficient and Low Crosstalk Sub-THz I/O by Surface Plasmonic Polariton Interconnect in CMOS”, IEEE Transactions on Microwave Theory and Techniques, vol.65, no.8, pp. 2762-2774, December 2016. (doi: 10.1109/TMTT.2017.2666808) (Impact Factor 2.24)
J61. (TCAS1’16) Sai Manoj P. D,  Jie Lin, Shikai Zhu, Yingying Yin, Xu Liu, Xiwei Huang, Chongshen Song, Wenqi Zhang, Mei Yan, Zhiyi Yu, and Hao Yu, “A Scalable Network-on-Chip Microprocessor with 2.5D Integrated Memory and Accelerator”, IEEE Transactions on Circuits and Systems I, vol.64, no.6, pp.1432-1443, December 2016. (doi: 10.1109/TCSI.2016.2647322) (Impact Factor 2.30)
J60. (JETC’16). Leibin Ni, Hantao Huang, Zichuan Liu, Rajiv V. Joshi and Hao Yu*, “Distributed In-Memory Computing on Binary RRAM Crossbar”, ACM Journal on Emerging Technologies in Computing System, vol.13, no.3, October 2016. (doi: 10.1145/2996192)
J59. (TBCAS’16) Yuhao Wang, Xin Li, Kai Xu, Fengbo Ren, and Hao Yu, “Data-driven Sampling Matrix Boolean Optimization for Energy-Efficient Biomedical Signal Acquisition by Compressive Sensing”, IEEE Transaction on Biomedical Circuits and Systems, vol.11, no.2, pp. 255-266, 2016. (doi: 10.1109/TBCAS.2016.2597310) (Impact Factor 3.15)
J58. (SR’16) Yuan Liang, Hao Yu*, Jincai Wen, Anak Agung Alit Apriyana, Nan Li, Yu Luo, and Lingling Sun, “On-chip sub-terahertz surface plasmon polariton transmission lines with mode converter in CMOS”, Scientific Reports, vol.6, article#.30063, July 2016. (doi: 10.1038/srep30063) (Impact Factor 5.58)
J57. (TIFS’16) Yuhao Wang, Leibin Ni, Chip-Hong Chang and Hao Yu*, “DW-AES: A Domain-wall Nanowire based AES for High Throughput and Energy-efficient Data Encryption in Non-volatile Memory”, IEEE Transactions on Information Forensics & Security, vol.11, no.11, pp. 2426-2440, 2016. (doi: 10.1109/TIFS.2016.2576903) (Impact Factor 2.40)
J56. (TCAD’16-2) Hantao Huang, Yuehua Cai, Hang Xu and Hao Yu, “A Multi-agent Minority-game based Demand-response Management of Smart Buildings towards Peak Load Reduction”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.36, no.4, pp. 573-585, 2016. (doi: 10.1109/TCAD.2016.2571847). 
J55. (TCAD’16-1) Leibin Ni, Sai Manoj P. D., Yang Song, Chenjie Gu, and Hao Yu, “A Zonotoped Macromodeling for Eye-diagram Verification of High-speed I/O Links with Jitter and Parameter Variations," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.35, no.6, pp.1040-1051, June 2016. (doi: 10.1109/TCAD.2015.2481873).
J54. (TMTT’16) Yang Shang, Hao Yu, Yuan Liang, Xiaojun Bi, and Muthukumaraswamy Annamalai, “Millimeter-wave Sources at 60 GHz and 140 GHz by Magnetic Plasmon Waveguide based In-phase Coupled Oscillator Network in 65-nm CMOS”, IEEE Transactions on Microwave Theory and Techniques, vol.64, no.5, pp.1560-1571, May 2016. (doi: 10.1109/TMTT.2016.2544308) (Impact Factor 2.24)
J53. (JJAP’16). Lin Zhang, Hong Yu Li, Yang Shang, Woosik Yoo, Hao Yu, and Chuan Seng Tan, “Novel integration of ultrathin Al2O3 with low-k dielectric as bilayer liner for capacitance optimization and stress mitigation in Cu through-silicon-via”, Japanese Journal of Applied Physics, vol.55, no.45, pp.04–08, 2016. (doi: 10.7567/JJAP.55.04EC08).
J52. (TC’16) Sai Manoj P.D., Hao Yu, Hantao Huang and Dongjun Xu, “A Q-Learning based Self-adaptive I/O Communication for 2.5D Integrated Many-core Microprocessor and Memory”, IEEE Transactions on Computers, vol.65, no.4, pp.1185-1196, April 2016. (doi: 10.1109/TC.2015.2439255).
J51. (IET’16) Nan Li*, Hao Yu, Yuan Liang, Wei Fei, Xiuping Li and Xiong Liu, “Complementary metal–oxide–semiconductor 60 GHz power amplifier by in-phase power combining and digitally assisted power back-off efficiency”, IET Microwaves, Antennas & Propagation, vol.10, no.1, pp.79-87, January 2016. (doi: 10.1049/iet-map.2015.0182)
J50. (TNANO’15) Yuhao Wang, Hao Yu, Leibin Ni, Mei Yan, Guangbin Huang, Chuliang Weng, Wei Yang and Junfeng Zhao, “An Energy-efficient Nonvolatile In-memory Computing Architecture for Extreme Learning Machine by Domain-wall Nanowire Devices”, IEEE Transactions on Nanotechnology (TNANO),vol.14, no.6, pp.998-1012, November 2015. (Top-10 Downloaded Article in November 2015) (doi: 10.1109/TNANO.2015.2447531)
J49. (TC’15) Sai Manoj P.D., Hao Yu* and Kanwen Wang, “3D Many-core Microprocessor Power Management by Space-Time Multiplexing based Demand-supply Matching”, IEEE Transactions on Computers, vol.64, no.11, pp.3022–3036, November 2015. (doi: 10.1109/TC.2015.2389827)
J48. (DT’15-1) Xiwei Huang, Hao Yu, Xu Liu, Yu Jiang, and Mei Yan, “A Single-frame Super-resolution Algorithm for Lab-on-a-chip Lensless Microfluidic Imaging”, IEEE Design & Test, vol.32, no.6, pp.32-40, November 2015. (doi: 10.1109/MDAT.2015.2424418) (Special Issue)
J47. (SSE’15) Nan L, Hao Yu*, Chang Yang, Yang Shang, Xiuping Li, and Xiong Liu, “A High-sensitivity 135 GHz Millimeter-wave Imager by Compact Split-ring-resonator in 65-nm CMOS”, Elsevier Solid State Electronics, vol.113, pp.54–60, November 2015. (doi: 10.1016/j.sse.2015.05.006) (Special Issue)
J46. (SR’15) Yuan Liang, Hao Yu*, Haochi Zhang, Chang Yang, and Tiejun Cui, “On-chip sub-terahertz surface plasmon polariton transmission lines in CMOS”, Scientific Reports, vol.5, article#. 14853, October 2015. (doi: 10.1038/srep14853) (Impact Factor 5.58)
J45. (VLSI’15) Xiwei Huang, Xiaolong Wang, Mei Yan, Hao Yu*, "A Robust Recognition Error Recovery for Micro-flow Cytometer by Machine-learning Enhanced Single-frame Super-resolution Processing," Elsevier Integration, the VLSI Journal, vol. 51, pp.208-215, September 2015. (doi: 10.1016/j.vlsi.2014.07.004) (Special Issue)
J44. (TBME’15) Xiwei Huang, Hao Yu*, Xu Liu, Yu Jiang, Mei Yan, and Dongping Wu, “A Dual-mode Large-arrayed CMOS ISFET Sensor for Accurate and High-throughput pH Sensing in Biomedical Diagnosis”, IEEE Transactions on Biomedical Engineering (TBME), vol.62, no.9, pp.2224–2233, September 2015.  (doi: 10.1109/TBME.2015.2419233) (Featured Article in September 2015) (Impact Factor 2.23)
J43. (TED’15) Chang Yang, Hao Yu, Yang Shang, and Wei Fei, “Characterization of CMOS Metamaterial Transmission Line by Compact Fractional-order Equivalent Circuit Model”, IEEE Transactions on Electronic Devices, vol.62, no.9, pp.3012–3018, September 2015.  (doi: 10.1109/TED.2015.2458931) (Impact Factor 2.40)
J42. (DT’15-2) Dongjun Xu, Sai Manoj P. D., Kanwen Wang,  Ningmei Yu, Mingbin Yu and Hao Yu, “A 2.5D Memory-logic Integration with Data-pattern Aware Memory Controller”, IEEE Design & Test, 2015. vol.32, no.4, pp.49–58, August 2015.  (doi: 10.1109/MDAT.2015.2440413) (Special Issue) (Top-10 Downloaded Article in August 2015)
J41. (RCS’15) Jinhong Guo, Xiwei Huang, Dongyuan Shi, Hao Yu, Ye Ai, Changming Li*, and Yuejun Kang, “Portable Resistive Pulse-Activated Lens-Free Cell Imaging System,” RSC Advances, vol.4, no.99, pp.56342-56345, 2015. (doi: 10.1039/C4RA10481A) (Impact Factor 3.71)
J40. (TVLSI’15) Xuexin Liu, Hao Yu, and Sheldon X. D. Tan, "A GPU-Accelerated Parallel Shooting Algorithm for Analysis of Radio Frequency and Microwave Integrated Circuits," IEEE Transactions on Very Large Scale Integration Systems, vol.23, no.3, pp.480–492, February 2015. (doi: 10.1109/TVLSI.2014.2309606)
J39. (TMTT’14-2) Shuli Ma, Hao Yu, and Junyan Ren, “A 32.5 GS/s Sampler with Time-Interleaved Track-and-Hold Amplifier in 65 nm CMOS”, IEEE Transactions on Microwave Theory and Techniques, vol.62, no.12, pp.3500-3511, December 2014. (doi: 10.1109/TMTT.2014.2366121) (Impact Factor 2.94)
J38. (TMTT’14-1) Yang Shang, Hao Yu, Sanming Hu, Yuan Liang, Xiaojun Bi, and Muthukumaraswamy Annamalai, “High-sensitivity CMOS Super-regenerative Receiver with Quench-controlled High-Q Metamaterial Resonator for Millimeter-wave Imaging at 96 and 135 GHz”, IEEE Transactions on Microwave Theory and Techniques, vol.62, no.12, pp.3095-3106, December 2014. (doi: 10.1109/TMTT.2014.2360677) (Impact Factor 2.94)
J37. (TTHZ’14) Yang Shang, Hao Yu, Haipeng Fu, and Wei Meng Lim, "A 239-281GHz CMOS Receiver with On-chip Circular-polarized Substrate Integrated Waveguide Antenna for Sub-terahertz Imaging", IEEE Transactions on Terahertz Science and Technology, vol.4, no.6, pp.686-695, November 2014. (doi: 10.1109/TTHZ.2014.2352040) (Top-10 Downloaded Article in November 2014) (Impact Factor 4.34)
J36. (PLOS-ONE’14) Xiwei Huang, Jinhong Guo, Xiaolong Wang, Mei Yan, Yuejun Kang, and Hao Yu, "A Contact-imaging based Microfluidic Cytometer with Machine-learning for Single-frame Super-resolution Processing," PLOS ONE, vol.9, no.8, August 2014. (doi: 10.1371/journal.pone.0104539) (Impact Factor 3.71)
J35. (DT’14) Fang Gong, Yiyu Shi, Hao Yu and Lei He "Variability-Aware Parametric Yield Estimation: Concepts, Algorithms and Challenges," IEEE Design & Test, vol.22, no.5, pp.6-15, August 2014. (doi: 10.1109/MDAT.2014.2299279) (Top-10 Downloaded Article in August 2014)
J34. (TVLSI’14-1) Yuhao Wang, Hao Yu, and Wei Zhang, “3D Integrated Hybrid Memory for Data Retention by Non-volatile CBRAM-Crossbar”, IEEE Transactions on Very Large Scale Integration Systems, vol.22, no.5, pp.957-970, May 2014. (doi: 10.1109/TVLSI.2013.2265754)
J33. (TCAD’14) Yang Song, Hao Yu, and Sai Manoj P. D., "Reachability-based Robustness Verification and Optimization of SRAM Dynamic Stability under Process Variations," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.33, no.4, pp.585-598, April 2014. (doi: 10.1109/TCAD.2014.2304704)
J32. (TCAS1’14) Wei Fei, Hao Yu, Haipeng Fu, Junyan Ren, and Kiat Seng Yeo, “Design and Analysis of Wide Frequency-tuning-range CMOS 60GHz VCO by Switching Inductor Loaded Transformer”, IEEE Transactions on Circuits and Systems I, vol.61, no.3, pp.699-711, March 2014. (doi: 10.1109/TCSI.2013.2284000) (Top-10 Downloaded Article in March 2014) (Impact Factor 2.30)
J31. (TCAD’13) Sai Manoj P.D., Hao Yu, Yang Shang, Chuan Seng Tan, and Sung Kyu Lim, “Reliable 3D Clock-tree Synthesis Considering Nonlinear Capacitive TSV Model with Electrical-thermal-mechanical Coupling”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol.32, no.11, pp.1734-1747, November 2013. (doi: 10.1109/TCAD.2013.2270285)
J30. (TMTT’13-3) Deyun Cai, Yang Shang, Hao Yu, and Junyan Ren, "Design of Ultra-low Power 60 GHz Direct-conversion Receivers in 65nm CMOS", IEEE Transactions on Microwave Theory and Techniques, vol.61, no.9, pp.3360-3372, September 2013. (doi: 10.1109/TMTT.2013.2268738) (Special Issue) (Impact Factor 2.94)
J29. (JETCAS’13) Yang Shang, Hao Yu, and Wei Fei, “Design and Analysis of CMOS based Terahertz Integrated Circuits by Causal Fractional-order RLGC Transmission Line Model”, IEEE Journal on Emerging and Selected Topics in Circuits and Systems, vol.3, no.3, pp.355-366, September 2013. (doi: 10.1109/JETCAS.2013.2268948) (Special Issue) (Impact Factor 3.433)
J28. (TMTT’13-2) Yang Shang, Hao Yu, Deyun Cai, Junyan Ren, and Kiat Seng Yeo, “Design of High-Q Millimeter-wave Oscillator by Differential Transmission Line Loaded with Metamaterial Resonator in 65nm CMOS”, IEEE Transactions on Microwave Theory and Techniques, vol.61, no.5, pp.1892-1902, May 2013. (doi: 10.1109/TMTT.2013.2253489) (IEEE MTT/AP Singapore chapter best student paper award 2014) (Impact Factor 2.94)
J27. (Sensors-B’13) Tze Sian Pui, Yu Chen, Chee Chung Wong, Revanth Nadipalli, Roshan Weerasekera, Hao Yu, and Abdur R. A. Rahman, "High Density CMOS Electrode Array for High-throughput Label-free Cancer Cell Detection and Enumeration", Elsevier Sensors and Actuators B: Chemical, vol.181, pp.842-849, May 2013 (doi: 10.1016/j.snb.2013.02.065) (Impact Factor 3.84).
J26. (DT’13) Wei Wu, Fang Gong, Hao Yu, Lei He. "Exploiting Parallelism in EDA Simulation Algorithms by Data Dependency Elimination", IEEE Design & Test, vol.30, no.1, pp.26-35, February 2013. (doi: 10.1109/MDT.2012.2226201)
J25. (TCAS2’13) Wei Fei, Hao Yu, Yang Shang, Deyun Cai, and Junyan Ren, “A 96 GHz Oscillator by High-Q Differential Transmission Line loaded with Complementary Split Ring Resonator in 65nm CMOS”,IEEE Transactions on Circuits and Systems II, vol.60, no.3, pp.127-131, March 2013. (doi: 10.1109/TCSII.2013.2240813)
J24. (TMTT’13-1) Wei Fei, Hao Yu, Yang Shang, and Kiat Seng Yeo, “A 2D Distributed Power Combining by Metamaterial-based Zero-Phase-Shifter for 60GHz Power Amplifier in 65nm CMOS”, IEEE Transactions on Microwave Theory and Techniques, vol.61, no.1, pp.505-516, January 2013. (doi: 10.1109/TMTT.2012.2226055) (Impact Factor 2.94)
J23. (TCAS1’13) Deyun Cai, Haipeng Fu, Junyan Ren, Wei Li, Ning Li, Hao Yu, and Kiat Seng Yeo, "A Dividerless PLL with Low Power and Low Reference Spur by Aperture- Phase Detector and Phase-to-Analog Converter", IEEE Transactions on Circuits and Systems I, vol.60, no.1, pp.37-50, January 2013. (doi: 10.1109/TCSI.2012.2215751) (Impact Factor 2.30)
J22. (TCAD’13-1) Fang Gong, Sina Basir-Kazeruni, Hao Yu, Lei He, "Stochastic Behavioral Modeling Analysis of Analog/Mixed-Signal Circuits", IEEE Transactions on Computer-aided-design of Integrated Circuits and Systems, vol.32, no.1, pp.24-33, January 2013. (doi: 10.1109/TCAD.2012.2217961)
J21. (VLSI’13-2) Sina Basir-Kazeruni, Hao Yu*, Fang Gong, Yu Hu, Chunchen Liu, and Lei He, "SPECO: Stochastic Perturbation based Clock Tree Optimization Considering Temperature Uncertainty", Elsevier Integration, the VLSI Journal (Special Issue), vol.46, no.1, pp.22-32, January 2013. (doi: 10.1016/j.vlsi.2012.04.004)
J20. (VLSI’13-1) Hanhua Qian, Chiphong Chang, and Hao Yu, "An Efficient Channel Clustering and Flow Rate Allocation Algorithm for Non-uniform Microfluidic Cooling of 3D Integrated Circuits", Integration, the VLSI Journal (Special Issue), vol.46, no.1, pp.57-68, January 2013. (doi: 10.1016/j.vlsi.2011.12.005)
J19. (Sensors-B’12) Yu Chen*, Chee Chung Wong, Tze Sian Pui, Revanth Nadipalli, Roshan Weerasekera, Jegatha Chandran, Hao Yu, and Abdur R. A. Rahman, "CMOS High Density Electrical Impedance Biosensor Array for Tumor Cell Detection", Elsevier Sensors and Actuators B: Chemical, vol.173, pp.903-907, October 2012. (doi: 10.1016/j.snb.2012.07.024) (Impact Factor 3.84).
J18. (TCAS1’12) Yang Shang, Wei Fei, and Hao Yu, "Analysis and Modeling of Internal State Variables for Dynamic Effects of Nonvolatile Memory Devices", IEEE Transactions on Circuits and Systems I, vol.59, no.9, pp.1906-1918, September 2012. (doi: 10.1109/TCSI.2011.2180441) (Impact Factor 2.30)
J17. (TVLSI’12-2) Fang Gong, Hao Yu, Lingli Wang, and Lei He, "A Parallel and Incremental Extraction of Variational Capacitance with Stochastic Geometric Moments ", IEEE Transactions on Very Large Scale Integration Systems, vol.20, no.9, pp.1729-1737, September 2012. (doi: 10.1109/TVLSI.2011.2161352)
J16. (VLSI’12) Hai Wang, Hao Yu, and Sheldon X.D. Tan, “Fast Timing Analysis of Clock Networks Considering Environmental Uncertainty", Integration, the VLSI Journal, vol.45, no.4, pp.376-387, September 2012. (doi: 10.1016/j.vlsi.2011.03.001)
J15. (EL’12) Deyun Cai, Yang Shang, Hao Yu, Junyan Ren, “An 80GHz On-Chip Metamaterial Resonator by Differential Transmission Line Loaded with Split Ring Resonator”, IET Electronics Letter, vol.48, no.18, pp.1128-1130, August 2012. (doi: 10.1049/el.2012.1120)
J14. (TVLSI’12-1) Wei Fei, Hao Yu, Wei Zhang, and Kiat Seng Yeo, "Design Exploration of Hybrid CMOS and Memristor Circuit by New Modified Nodal Analysis", IEEE Transactions on Very Large Scale Integration Systems, vol.20, no.6, pp.1012-1025, June 2012. (doi: 10.1109/TVLSI.2011.2136443)
J13. (TNANO’12) Xiwei Huang, Chun Zhang, Hao Yu and Wei Zhang, “A Nano-Electro- Mechanical-Switch based Thermal Management for 3D Integrated Many-core Memory- Processor System”, IEEE Transactions on Nanotechnology, vol.11, no.3, pp.588-600, May 2012. (doi: 10.1109/TNANO.2012.2186822)
J12. (TODAES’12) Fang Gong, Xuexin Liu, Hao Yu, Sheldon X.D. Tan, Junyan Ren and Lei He, "A Fast Non-Monte-Carlo Yield Analysis and Optimization by Stochastic Orthogonal Polynomials", ACM Transactions on Design Automation of Electronic Systems, vol.17, no.1, January 2012. (doi: 10.1145/2071356.2071366)
J11. (TCAS2’11) Xiaoming Chen, Wei Wu, Yu Wang, Hao Yu, and Huazhong Yang, "An EScheduler based Data Dependency Analysis and Task Scheduling for Parallel Circuit Simulation", IEEE Transactions on Circuits and Systems II, vol.58, no.10, pp.702-706, October 2011. (doi: 10.1109/TCSII.2011.2164148)
J10. (JOLPE’11) Hanhua Qian, Xiwei Huang, Hao Yu*, and Chiphong Chang, "Cyber- physical Thermal Management of 3D Multi-core Cache-Processor System with Microfluidic Cooling", Journal of Low Power Electronics, vol. 7, no.1, pp.110-121, February 2011. (doi: 10.1166/jolpe.2011.1121)
J9. (TVLSI’10) Hao Yu, Chunta Chu, Yiyu Shi, David Smart, Lei He and Sheldon X.D. Tan, "Fast Analysis of Large Scale Inductive Interconnect by Block Structure Preserved Macromodeling", IEEE Transactions on Very Large Scale Integration Systems, vol.18, no.10, pp.1399-1411, October 2010. (doi: 10.1109/TVLSI.2009.2024343)
J8. (DTC’09) Hao Yu, Lei He, and M.C.Frank Chang, "Robust On-chip Signaling using Staggered and Twisted Interconnect", IEEE Design and Test of Computers, vol.26, no.5, pp.92-104, September 2009. (doi: 10.1109/MDT.2009.121) (SRC inventor award 2009)
J7. (TODAES’09) Hao Yu, Joanna Ho and Lei He, "Allocating Power Ground Vias in 3D ICs for Simultaneous Power and Thermal Integrity", ACM Transactions on Design Automation of Electronic Systems, vol.14, no.3, May 2009. (doi: 10.1145/1529255.1529263) (Transaction Best Paper Award 2010)
J6. (TVLSI’08) Hao Yu, Yiyu Shi, Lei He, and Tanay Karnik, "Thermal Via Allocation for 3D ICs Considering Temporally and Spatially Variant Thermal Power", IEEE Transactions on Very Large Scale Integration Systems, vol.16, no.12, pp.1609-1619, December 2008. (doi: 10.1109/TVLSI.2008.2001297)
J5. (TODAES’07) Yiyu Shi, Paul Mesa, Hao Yu, and Lei He, "Circuit Simulated Obstacle- Aware Steiner Routing", ACM Transactions on Design Automation of Electronic Systems, vol.12, no.3, August 2007. (doi: 10.1109/DAC.2006.229212)
J4. (TCAD’06) Zhenyu Qi, Hao Yu, Pu Liu, Sheldon X.-D. Tan, and Lei He, "Wideband Passive Multi-Port Model Order Reduction and Realization of RLCM Circuits", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.25, no.8, pp.1496-1509, August 2006. (doi: 10.1109/TCAD.2005.855937)
J3. (TCAD’05) Hao Yu, and Lei He, "A Provably Passive and Cost Efficient Model for Inductive Interconnects", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.24, no.8, pp.1283-1294, August 2005. (doi: 10.1109/TCAD.2005.850820)
J2. Jens Jensen, R. Chandra, and Hao Yu, "Quantitative model for the Interecho Time Dependence of the CPMG Relaxation Rate in Iron-rich Gray Matter", Magnetic Resonance in Medicine, vol. 46, no.1, pp.159-165, July 2001. (doi: 10.1002/mrm.1171) (Impact Factor 3.27).
J1. Chu-Shun Tian, Hao Yu, Chi Zhang, and Quan-Kang Lu, "The First Principle Calculation of Green-Kubo Formula with the Two-Time Ensemble Technique", Communications in Theoretical Physics, vol.35, no.04, pp.412-416, April 2001.